PacoBlaze

PacoBlaze

Le PacoBlaze est une implémentation en Verilog synthétisable du processeur softcore PicoBlaze de Xilinx, disponible sous la licence BSD. Le design comprend la configuration des 3 PicoBlaze dans un unique jeu de fichiers configurable.

Le cœur a été écrit et est maintenu par Pablo Bleyer[1]. Il a aussi écrit un assembleur PicoBlaze/PacoBlaze en langage Java nommé KCAsm.

Les performances du processeur sont similaires à celles du PicoBlaze original, mais selon l'implémentation, la taille du cœur sur un FPGA est de 30 à 50% plus large. Cependant, des portions inutilisées du cœur peuvent être enlevées pour réduire le nombre de blocs utilisés, et augmenter ainsi la vitesse.

Sommaire

Références

  1. http://bleyer.org site de Paco Bleyer

Voir aussi

Liens externes

Sources


Wikimedia Foundation. 2010.

Contenu soumis à la licence CC-BY-SA. Source : Article PacoBlaze de Wikipédia en français (auteurs)

Игры ⚽ Поможем сделать НИР

Regardez d'autres dictionnaires:

  • PacoBlaze — is a synthesizable and behavioral Verilog implementation of Xilinx s PicoBlaze soft microcontroller core, and is available through the BSD License. The design includes the three PicoBlaze configurations in a single configurable set of files.The… …   Wikipedia

  • PicoBlaze — is the designation of a series of three free soft processor cores from Xilinx for use in their FPGA and CPLD products. They are based on a RISC architecture of 8 bits and can reach speeds up to 100 MIPS on the Virtex 4 FPGA s family. The… …   Wikipedia

  • Processeur softcore — Un processeur softcore est un processeur (CPU) implémenté sur un système reprogrammable comme un FPGA. On parle alors de système sur puce programmable (System on Programmable Chip ou SoPC). Sommaire 1 Présentation 2 Liste de processeurs softcore… …   Wikipédia en Français

  • Soft-микропроцессор — Необходимо проверить качество перевода и привести статью в соответствие со стилистическими правилами Википедии. Вы можете помочь улучшить эту стать …   Википедия

  • PicoBlaze — PicoBlaze  общее название серии свободно распространяемых Soft процессорных ядер, созданных фиромой Xilinx для своих ПЛИС (FPGA и CPLD). В основе PicoBlaze лежит архитектура 8 битного RISC процессора; скорость работы на ПЛИС семейства Virtex …   Википедия

  • PicoBlaze — est la désignation d une série de trois processeurs softcore faits par Xilinx pour être utilisés sur leurs FPGA et CPLD. Ils sont basés sur une architecture RISC de 8 bits et peuvent atteindre une vitesse de 100 millions d instructions par… …   Wikipédia en Français

  • LEON — est un processeur 32 bit RISC open source, compatible SPARC V8 (1987) développé par l ingénieur suédois Jiri Gaisler pour l ESA. Il est téléchargeable sous licence LGPL (version 2) et GPL (version 3). Une version tolérante aux erreurs ( Fault… …   Wikipédia en Français

  • Microblaze — Le MicroBlaze est un cœur de processeur softcore 32 bits de la société Xilinx. Il est conçu pour être implémenté sur les FPGA de ce fabricant. Le code source de MicroBlaze est fermé[1]. Sommaire 1 Architecture 1.1 Architec …   Wikipédia en Français

  • NIOS — Le NIOS est un Processeur softcore propriétaire de Altera. Il est basé sur un cœur RISC 32 bits. Il est doté du bus Avalon Principaux outils de développement L implémentation du NIOS dans le FPGA se fait à partir de Quartus. Le développement du… …   Wikipédia en Français

  • OpenSPARC — est un projet de matériel informatique libre démarré en décembre 2005; basé sur la libre diffusion des spécifications de processeurs SPARC. La contribution initiale au projet a été la description du système logique du processeur UltraSPARC T1 en… …   Wikipédia en Français

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”