PicoBlaze

PicoBlaze

PicoBlaze est la désignation d'une série de trois processeurs softcore faits par Xilinx pour être utilisés sur leurs FPGA et CPLD. Ils sont basés sur une architecture RISC de 8 bits et peuvent atteindre une vitesse de 100 millions d'instructions par seconde sur la famille de FPGA Virtex 4. La licence des cœurs en permet une utilisation libre, mais seulement sur les appareils Xilinx. Des outils de développement sont fournis par le constructeur, mais des outils tiers sont disponibles, notamment chez Mediatronix. Il existe aussi une implémentation libre, indépendante du composant, disponible sous licence BSD sous le nom de PacoBlaze.

La conception du PicoBlaze s'appelait à l'origine KCSPM (pour Constant(K) Coded Programmable State Machine, auparavant Ken Champan's PSM). Ken Chapman était le concepteur système de Xilinx qui avait inventé et conçu le microcontrôleur[1].

Quand on instancie un microcontrôleur PicoBlaze en VHDL, le nom du composant KCSPM respectif doit être utilisé[2]. par exemple, pour un processeur PicoBlaze3:

component kcpsm3 is
  port (
    address       : out std_logic_vector(9 downto 0);
    instruction   : in std_logic_vector(17 downto 0);
    port_id       : out std_logic_vector(7 downto 0);
    write_strobe  : out std_logic;
    out_port      : out std_logic_vector(7 downto 0);
    read_strobe   : out std_logic;
    in_port       : in std_logic_vector(7 downto 0);
    interrupt     : in std_logic;
    interrupt_ack : out std_logic;
    reset         : in std_logic;
    clk           : in std_logic
    );
end component;

Et voici le schéma correspondant :

PicoBlaze1.png

Références

  1. Microcontrôleur PicoBlaze 8-bit, Xilinx, Inc.. Consulté le 2007-06-25
  2. Guide utilisateur du PicoBlaze 8-bit, Xilinx, Inc.. Consulté le 2007-06-25

Liens externes

Sources

Sur les autres projets Wikimedia :


Wikimedia Foundation. 2010.

Contenu soumis à la licence CC-BY-SA. Source : Article PicoBlaze de Wikipédia en français (auteurs)

Игры ⚽ Нужна курсовая?

Regardez d'autres dictionnaires:

  • PicoBlaze — PicoBlaze  общее название серии свободно распространяемых Soft процессорных ядер, созданных фиромой Xilinx для своих ПЛИС (FPGA и CPLD). В основе PicoBlaze лежит архитектура 8 битного RISC процессора; скорость работы на ПЛИС семейства Virtex …   Википедия

  • PicoBlaze — is the designation of a series of three free soft processor cores from Xilinx for use in their FPGA and CPLD products. They are based on a RISC architecture of 8 bits and can reach speeds up to 100 MIPS on the Virtex 4 FPGA s family. The… …   Wikipedia

  • PicoBlaze — Als PicoBlaze wird eine ausschließlich in FPGAs und CPLDs der Firma Xilinx verwendbare Prozessorfamilie bezeichnet. Die Prozessoren existieren nicht als physische Hardware, sondern sind in den Hardwarebeschreibungssprachen VHDL und Verilog als… …   Deutsch Wikipedia

  • Picoblaze — Der PicoBlaze ist ein ausschließlich in FPGAs der Firma Xilinx verwendbarer Mikrocontroller. Dieses Mikrocontroller existiert nicht als physische Hardware, sondern ist in den Hardwarebeschreibungssprachen VHDL und Verilog als sogenannter Softcore …   Deutsch Wikipedia

  • PacoBlaze — Le PacoBlaze est une implémentation en Verilog synthétisable du processeur softcore PicoBlaze de Xilinx, disponible sous la licence BSD. Le design comprend la configuration des 3 PicoBlaze dans un unique jeu de fichiers configurable. Le cœur a… …   Wikipédia en Français

  • PacoBlaze — is a synthesizable and behavioral Verilog implementation of Xilinx s PicoBlaze soft microcontroller core, and is available through the BSD License. The design includes the three PicoBlaze configurations in a single configurable set of files.The… …   Wikipedia

  • Processeur softcore — Un processeur softcore est un processeur (CPU) implémenté sur un système reprogrammable comme un FPGA. On parle alors de système sur puce programmable (System on Programmable Chip ou SoPC). Sommaire 1 Présentation 2 Liste de processeurs softcore… …   Wikipédia en Français

  • Soft-микропроцессор — Необходимо проверить качество перевода и привести статью в соответствие со стилистическими правилами Википедии. Вы можете помочь улучшить эту стать …   Википедия

  • Nios II — For School level education board in India, see National Institute of Open Schooling. Nios II Designer Altera Bits 32 bit Design RISC Endianness Little Open No …   Wikipedia

  • MicroBlaze — Designer Xilinx Bits 32 bit Version 8.20 Design RISC Encoding Fixed Endianness Big/Little …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”